english deutsch
Automated Testing Systems
Accuprobe, Ardent Concepts, Inc., Aseco Corp., Brumley South, Inc., Cohu, Inc., CREA, CS Products, DB Design Group, DPA Components International, Frothingham Electronics
Engineering
Yield Management Consult
Manufacturers Representatives
Alliance Sales (Europe) Ltd.
Product Handling
Aetrium Incorporated, Boschman Technologies, Chem-Flo Equipment Inc., Chipscale Robotics Inc., D-Coax, Entegris, Entegris IC Tray Wizard, Entegris, Inc., ePAK International, Inc., Exatron, Inc.
Used Equipment
4Semi, Annealsys, AnyEquip, ASPI Co.,Ltd, ATSI - Advanced Technology Services, Inc, Bid-Service LLC, Capovani Brothers Inc., ClassOne Equipment, Corporate Surplus Sales, E. McGrath Inc used hi-tech equipment
Wholesale and Distribution
Brooks-PRI Automation, SPS-Europe B.V., TCG Inc., Teltec Materials Division, Thin Film Equipment srl
Abinition Inc
A suite of software products that integrate all the semiconductor manufacturing systems.
Accretech Ltd.
Specialized in the field of image processing, machine control and algorithm development for inspection tools for wafer inspection and mask inspection tools.
ADE Corp.
Designs, manufactures, markets inspection systems used in semiconductor wafer and integrated circuit fabrication, and in testing computer disks and disk drives. Headquartered in Westwood, Massachusetts. (Nasdaq: ADEX).
Advanced Materials Engineering Research, Inc.
An independent materials characterization laboratory offering analytical services for the technological and semiconductor community.
Advanced Technologies, Inc.
Manufactures process equipment for semiconductor and flat panel display applications, including plasma and ion etchers, and magnetron sputtering equipment. Site has product photos and descriptions.
Advanced Temperature Test Systems (ATT) GmbH
Offer a range of temperature control solutions (thermal wafer chuck) for the semiconductor industry.
Advanced Thermal Sciences
Manufacturer of standard and custom small footprint chillers and heat exchangers for coolant temperature control during semiconductor fabrication. Site lists product photos and descriptions.
Adventa Control Technologies Inc.
Semiconductor manufacturing software supplier.
Aehr Test Systems
Designs, engineers and manufactures massively parallel test systems, burn-in systems, die carriers, test fixtures and related accessories used in the semiconductor industry. (Nasdaq: AEHR).
American Probe & Technologies, Inc.
Offers accessories for analytical and production probing applications.
Amerimade Technology, Inc.
Manufacture a full line of fully automated semiconductor process equipment including automated and plating stations.
APC Technologies
A precision electroplating business specializing in electronic and semiconductor products.
Apex Technologies
Design and manufacture of encapsulation molds, mold parts and related sub-assemblies for the semi-conductor industry.
Applied Materials
Supplier of semiconductor processing equipment.
ASM International N.V.
Designs, manufactures, markets and services equipment and materials used to manufacture semiconductor devices. (Nasdaq: ASMI)
ASML Holding NV
Provider of lithography systems for the semiconductor industry.
ASYS Automatic Systems GmbH
Supplier of handling equipment for wafers, substrates, and MEMS in controlled environments. Germany. Site lists product photos and specifications in PDF format.
Asyst Technologies, Inc.
Develops, manufactures and markets mini-environment systems for contamination control, material tracking products, and factory automation solutions. (Nasdaq: ASYT)
ATMI Inc.
Manufactures point-of-use environmental equipment, thin film materials and delivery systems, and thin film deposition services to the semiconductor industry. (Nasdaq: ATMI)
ATTO Co.,Ltd
Gas cabinet, gas source manifold, nano gas purifier, on-line gas purifiers, gas management systems and total gas solutions for semiconductor and LCD manufacturing process.
August Technology Corp.
Micro defect inspection equipment for wafers and die.
Axcelis Technologies Home Page
Manufacturer of semiconductor processing equipment including ion implantion, thermal processing, photostabilization, and photoresist dry strip equipment
Baccini Spa
Production of microelectronic application machines and manufacturing equipment.
BBF Custom Products
Manufacturer of plastic and stainless steel products for use in high purity and harsh chemical environments, including carts, holders, and cabinets. Site lists product photos and descriptions.
BE Semiconductor Industries NV
Designs, develops, manufactures, markets and services molding, trim and form, and selective plating and tin-lead plating equipment for the semiconductor industry's back-end assembly operations. (Nasdaq: BESI).
Branchy Technology Co., Ltd
Manufacture of thin-film process equipments for semiconductor, optoelectronic, and communication devices. The major products are physical vapor deposition systems such as thermal coater, e-beam evaporator, sputtering system and plasma-series equipments.
Brewer Science Limited
Manufacturers and suppliers of spin coaters, hotplates, wafer tracks, and anti-reflective coatings.
BTU International, Inc.
Provides thermal process solutions for the electronic assembly and semiconductor packaging markets, develops custom equipment for specialty applications needing high-temperature and atmosphere-control. (Nasdaq: BTUI).
Busch Semiconductor Vacuum Group
Manufacturer and supplier of vacuum technology to the semiconductor and flat panel industry
BW Consulting
Serving the semiconductor manufacturing industry including electro static chuck product line.
Cascade Microtech
Manufacturer of probe stations and low current wafer probing solutions from probe cards to RF microwave prober applications.
CHA Industries
Manufactures evaporation and sputtering high vacuum deposition systems, LED optical systems and electron beam guns. Product specifications and recommended applications, plus company contact information.
CompuVac Systems, Inc.
Sarasota, Florida manufacturer of sputtering systems and metal and polymer deposition systems.
CoorsTek, Inc.
Supplies critical components and assemblies to the semiconductor capital equipment market, including precision-machined metals, technical ceramics, and engineered plastics .
Cost Effective Equipment
Manufactures spin coaters, spinners, spincoaters, developers, hotplates and other semiconductor wafer processing equipment. A division of Brewer Science Inc.
Credence Systems Corporation
Manufacture automatic test equipment (ATE) for analog, digital, memory, mixed-signal and wireless semiconductor devices. Online product portfolio and company news.
Cymer, Inc.
Provides excimer laser illumination sources for use in deep ultraviolet photolithography systems targeted at the pilot and volume production segments of the semiconductor manufacturing market. (Nasdaq: CYMI).
Datacon Semiconductor Equipment Gmbh
Datacon is concentrating on advanced packaging solutions. A specialist for die bonding, die attach, flip chip.
Diamond Touch Technology
Engineers and manufactures dicing saws. Site includes product photos and specifications, and lists of current customers and authorized sales agents.
Disco Corporation
Manufacturer of precision dicing saws and grinding wheels providing dicing, grinding, and polishing equipment and services for semiconductor and electronic components.
DNF Enterprises
Services for all makes and models of helium mass spectrometers and vacuum pumping stations for the semiconductor, chemical and aerospace industries.
DNS Korea Co., Ltd.
Wet cleaning system, spinners, developers and other semiconductor equipment and FPD equipment.
Donga Trading
Microscope video system, hyperscope system, laser optical measuring system, ball bar sensor system, microscope.
DuPont
Manufacturer of dry film photoresists for wafer bumping for Micro Electro Mechanical Systems (MEMS).
Electro Mechanical Services
Supplier of technical products and services to laboratories and manufacturers in New Mexico.
Electro Scientific Industries, Inc.
Designs and manufactures sophisticated production equipment used by microelectronics manufacturers. (Nasdaq: ESIO).
Electroglas, Inc.
Develops, manufactures, markets and services automatic wafer probing equipment for use in the fabrication of semiconductor devices. (Nasdaq: EGLS).
Electronic Micro Systems Ltd
Manufacturers of hot plates and photo resist spinners. Site includes product data sheets and user manuals.
Ellipsiz
An engineering and advanced packaging solutions provider to the semiconductor industry in Asia.
Epigress AB
Manufactures equipment for epitataxial (CVD) and bulk (sublimation).
Episil Technologies Inc.
Manufacturer of silicon epitaxial wafers foundry and provide buried layer epitaxial process services.
Epitaxial Technologies, LLC
Manufactures compound semiconductors and value-added wafer products for the rapidly expanding wireless and optoelectronic industries.
EUV Technology
Manufactures custom R&D instrumentation for the utilization and analysis of short wavelength electromagnetic radiation - soft x-rays and extreme ultraviolet (EUV).
EV Group
Manufacturer of semiconductor production equipment. Including ranges for resist processing, wafer cleaning, wafer bonding and SOI bonding.
Evertech
Manufacturer of semiconductor equipment; sales and fabrication of metal parts and engineering plastics; distributor of shopfloor data management system.
Excelerate Technologies
Offers SECS/GEM and yield management software for the semiconductor and electronics assembly and packaging industries.
Fast Gate Corporation
Designs and sells semiconductor manufacturing machines, and sells used equipment. Based in Japan. In Japanese and English.
FEI Company
Designs, manufactures and markets focused ion beam workstations, transmission electron microscopes, scanning electron microscopes and their components used in the design, manufacture and testing of integrated circuits. (Nasdaq: FEIC).
Felcon Cleanrooms and Containment Ltd
Contamination controls including wet benches, cleanrooms, downflow, and laminar flow booths.
Fine Semi Tech
Pellicles for photomask processing, chillers, pellicle mounters for semiconductor and FPD processing.
Foothill Instruments, LLC
Manufacturer of film thickness metrology equipment for semiconductor and related industries.
Frontier Semiconductor Inc.
Manufacturer of equipment for measuring deposited thin film flatness and stress in wafers and characterizing wafer adhesion materials. Site includes product descriptions and a description of how wafer stress is measured.
FSIInternational, Inc.
Supplier of processing equipment used to manufacture microelectronics, including semiconductor integrated circuits and thin film heads for the computer hard drive industry. Develop, manufacture, market and support products used in the technology areas of surface conditioning, microlithography and spin-on dielectrics.
GaLa Instrumente GmbH
Laboratory plasma instrument for plasma cleaning, plasma etching, plasma ashing, plasma surface modification, polymer analysis. Made in Germany
GCL
Produce etch processing tanks, ultra violet exposure units and light boxes for industry and photographers.
Genesis Development
Manufacturer of photolithographic and vapor treatment equipment for semiconductor wafers. Site includes product brochures in PDF.
Genitech, Inc.
Genuine technology for semiconductor device makers, CMP and other semiconductor equipment.
Genmark Automation
Designs, develops and manufactures robotics, motion control and integrated tool automation systems for semiconductor, data storage, and flat panel displays.
Greatek Technology Co., Ltd.
Designer and manufacturer of semiconductor process equipment.
GT Equipment Technologies Inc.
Manufacture of semi-custom and specialty equipment for the materials processing industries. Supplier to the global semiconductor and photovoltaic industries.
H-Square Corporation
Manufactures wafer handling tools, die and package pick and place tools and photomask handling tools.
Haiku Tech
Manufacturer and distributor of multilayer ceramic component manufacturing equipment. Site includes technical overview of wet stacking techniques for making inductors.
Hand Ray Co., Ltd.
Manufacturer of vacuum three-axis robot arm, wafer, glass substrate, arm handler and transfer robot. From Taiwan.
Hanmi Semiconductor
Manufacturer of back-end equipment in semiconductor industry.
Harmbridge Ltd
Manufacturers and suppliers of new and refurbished equipment and spare parts for semiconductor manufacturing and test. Wafer probing systems and dicing saws a speciality.
Heidelberg Instruments
Manufactures direct write laser lithography systems. Applications include photomask, MEMS, BioMEMS, grey exposure and exposure through thick resist.
Hi-Yen Trading International Ltd.
Capital equipment for the semiconductor and smart card industry.
Hitachi America
Supplier of gas abatement systems, SIMOX implanters, HDP-CVD systems and plasma etch equipment for metal, poly, trench, oxide and low-k applications.
Hitachi High-Technologies Canada, Inc.
Collaboration microscopy and semiconductor workflow solutions. Serving the scientific, industrial and semiconductor manufacturing community.
IBEX Process Technology, Inc.
Provides advanced software solutions to optimize process control and yield management in the semiconductor manufacturing industry.
iCADA GmbH.
Integration of reticle stockers and inspection systems of all brands, and control of reticle shelves.
Intellemetrics
Provides a range of thin film process control instrumentation including quartz crystal monitors, plasma monitors, optical monitors, and laser end point detectors.
Intellemetrics Ltd.
Vacuum deposition and etch measurement. Quartz crystal deposition monitors and controllers, plasma etch interferometers, optical monitors for thin film deposition.
IPS Tech
Manufacturer of ALD (Atomic Layer Deposition) systems, dry etchers, and sputtering systems for semiconductor processing.
Jesagi Hankook Ltd.
Design and manufacture cutting tools, steel fiber, machine parts, down hole hammers.
Johnson Matthey
Produces products including palladium (pd) purifiers and getter and catalytic gas purifiers and fuel processors.
Joosung Engineering Inc.
Chemical vapor deposition (CVD) equipment for semiconductor and LCD processing.
Jus Mechatronics Pte Ltd
Manufactures soldering robots for post smt and reflow components assembling. From Singapore.
JWN & Assoc, Inc
Deal in semiconductor equipment, sales, service and leasing and carry most Hughes/Palomar models.
K.C.TECH Co., Ltd
Gas cabinet, wet stations. Flash site.
KDF
KDF produces physical vapor deposition in-line batch systems used in the production of semiconductors, telecommunications and wireless networks, sensors, opto-electronics, flat panel displays and radio frequency power devices.
Keko Equipment
Manufacturer of equipment for development of multilayer based components.
Keystone Technologies, Inc.
A manufacturer of fully and semi automated wet benches, chemical supplies, and related equipment for the semiconductor industry.
King Yuan Electronics Co.
A service provider in mixed, logic and memory testing and assembly, providing turnkey solutions that include wafer sort, packaging, testing, burn-in and drop ship. From Taiwan.
KLA-Tencor Corporation
Designs, manufactures, markets and services yield monitoring and process control systems for the semiconductor manufacturing industry. (Nasdaq: KLAC).
Kokusai Semiconductor Equipment Corporation
Manufactures diffusion and low-pressure chemical vapor deposition (LPCVD) furnaces as well as rapid thermal processing (RTP) equipment.
Kulicke and Soffa Industries, Inc.
Designs, manufactures and sells semiconductor assembly equipment including wire bonders, dicing saws and die. (Nasdaq: KLIC).
L. V. Service Enterprise
Sells and services mask aligners and other new and used semiconductor processing machines. San Jose, California.
Lam Research
A supplier of wafer fabrication equipment.
Laurell Technologies Corporation
Manufacturers of spin coaters, spin etchers, spin dryers, wet etch stations and other processing equipment for the semiconductor industry.
Loomis Industries Inc
Designs semiconductor wafer scribing and dicing machines.
Magnetic Solutions Limited
Manufacturer of magnetic annealing systems used in processing disk drive read/write heads and in processing magnetoresistive memory (MRAM). Site includes product specifications, with brochures and schematics in PDF.
Maludai Technology Corp.
Manufacturers embossed carrier tape, heat sealing type cover tape, plastic reel and taping machine for 12mm to 56mm carrier tape.
Martek Automation
Automated material handling for the micro-electronics industry.
MAT-VAC Technology,Inc.
Supplier of high purity materials (sputter targets, evaporation sources) for thin film deposition; remanufactured sputtering and evaporation equipment: replacement parts; sputtering accessories including sputtering cathode.
Mattson Technology, Inc.
Designs, manufactures and markets advanced fabrication equipment used in semiconductor manufacturing. (Nasdaq: MTSN).
Mercatron International ltd
Manufacturers tungsten filaments for vacuum metallising.
Micro-Mechanics
Manufactures a range of tooling, precision parts, and consumable materials for the semiconductor, fiber-optic, and micro-electronic industries.
Microtool
Offers products and services for tool alignment and wafer handling.
Mill Lane Engineering Co., Inc.
Manufacturer of PVD systems using sputtering, electron beam, and resistance evaporation for both R&D and production facilities.
Mission Technology Inc.
Manufactures new and used SVG style 81xx, 86xx and 88xx series track system. Complete line of spare parts available.
MKS Instruments, Inc.
Supplies instruments and components used to measure, control, analyze and isolate gases in semiconductor and other manufacturing processes. (Nasdaq: MKSI).
Myriad Semiconductor
Myriad manufactures, supports, and sells semiconductor mask aligners and other photolithography equipment for production, R&D and university teaching environments.
NEC Machinery Corporation
Manufacturing machines for semiconductor, electronic device, factory automation, and single crystal.
Nikon
Photo lithography systems for semiconductor and fpd processing
Novellus Systems, Inc
Designs, manufactures, markets and services chemical vapor deposition equipment, used in fabricating wafers for integrated circuits. (Nasdaq: NVLS).
NPTest, Inc.,
Provides design, validation and test products and services.
Op-Test Corporation
Designs and develops production and engineering test systems for photonic semiconductor devices.
Orbis Technologies Ltd
Providers of plasma coating, etching, ashing and metallization products. Applications include semiconductor manufacturing.
Planar Concern
Research and manufacturing of semiconductor equipment including photolithography machines, photomasks, mask aligners, dicing saws, and die bonders.
Plasma Equipment Technical Services, Inc.
Manufacturer of semiconductor processing equipment including rie, pecvd, ion milling, sputtering, barrel ashing and plasma etching systems.
Probe 2000
Manufacturer of probe cards for semiconductor manufacturing and test.
PSK Tech, Inc.
Manufacturer of semiconductor and LCD production and process equipment including ashers and dry etchers.
PVA TePla AG
Providers of microwave plasma tools for ashing, resist strip, descum, polyimide and paralyne removal, surface cleaning and isotropic etch processing for semiconductor wafers, flat panel displays , mems and optoelectronics.
Quadrillion Corporation
Software for diagnosing semiconductor yield problems.
Quintel Corporation
Manufacturer of contact photolithography mask alignment equipment for production as well as research and development. Wafer sizes up to 8" with custom tooling available.
Raith GmbH
Offers hard- and software for SEM based E-beam lithography, defect review (FA) and CAD navigation (PC based) for science orientated customers, and the semiconductor industry.
RD Automation Flip Chip Die bonders
Manufacturer of flip chip die bonding equipment. Offers manual, semi-automatic to inline production models. Common applications: FPA, MCM, FCOG, Eutectic bonding. Various options available.
Rena Sondermaschinen GmbH
Supplies custom designed solutions and standard equipment for wet chemical processes in cleanrooms.
Rhetech, Inc
Manufactures, sells, modifies, and refurbishes used and surplus semiconductor equipment, including wet processing and Semitool equipment.
Robotic Vision Systems, Inc.
Manufactures products that range from bar code scanners to fully automated wafer inspection systems to semiconductor array processing lines. (Nasdaq: ROBV).
Sel-Tek Limited.
Specialist suppliers of chemical pumps, d. I water heaters, hall effect measurement systems, manual and automated probe stations, rf test equipment, probe tips, micropositioners and filtration products to the semiconductor equipment industry.
SELA
Automated manufacturing, inspection and analysis equipment for the semiconductor and optical components industries.
SEMI Resource
Offers semiconductor manufacturing equipment, testing and packaging equipment, robotics, offshore automation systems, and cryogenic equipment.
Semiconductor Wet Process Equipment
Process stations, plating benches, chemical delivery systems, quick dump rinsers, filter baths, circulators, quartz cleaning equipment, wafer storage cabinets.
Semitool, Inc.
Manufacturer of metallization and interconnect, electrochemical deposition (ECD), wet cleaning and etching, thermal diffusion, and auxiliary equipment for semiconductor manufacturing processes.
Semtec
Quality equipment and materials for test, assembly and packaging in the semiconductor industry.
Shinhan Precision Co. Ltd.
Manufactures lead frame stamping tool sets. Korea. Site in English and Korean.
Sieghard Schiller GmbH & Co.
Manufacturers and installs automated semiconductor handling machines including substrate separators, and wafer loaders and unloaders for ovens and bonders. Other products include smart card and CD assemblers. Headquartered in Germany; site includes photos and descriptions of products and list of customers.
Sierra Applied Sciences Inc.
Designs and manufactures magnetron cathodes for sputtering of thick, thin, bonded, ceramic, metal, and magnetic and non-magnetic targets. Product overviews and applications.
Silicet AG
Etching technologies for microsystems: patented wafer holder / wafer handling tools / wet etching benches
SITE Services, Inc.
Designs and produces photolithography equipment.
SLScott Services, Inc.
Semiconductor process equipment service and training: ASM PECVD, PRI, diffusion, LPCVD, process, particle control.
SOF Optoelectronics GmbH
Manufacture of equipment for the production of flat panel displays, semiconductors and gas purifiers.
Solitec Wafer Processing, Inc.
Processing equipment for the semiconductor industry.
Special Purpose Machines
Specializes in custom and special-purpose machines for wafer and small-product handling applications.
Spectel Research Corporation
Develops and makes semiconductor metrology products.
SperryTek
Provides service and parts supply for dicing saws and manual bonders.
Surface Technology Systems plc (STS)
Manufacturer of plasma etch and deposition equipment for micro electromechanical systems (MEMS), photonics, wireless and data storage semiconductor applications.
Suss Microtec
Manufacturer of mask aligners, bonders, flip chip bonders, spin coaters and probe systems for the mems, advanced packaging for the semiconductor markets.
Swiftaim Technology Ltd.
Manufacturers an upgrade controller for production sputtering systems.
TaeYang Tech Inc.
Semiconductor and LCD equipment and parts.
Techlink Semiconductors
Provide cost-effective equipment, service, spare parts and support to wafer fabs worldwide.
TechStar Innovations
A semiconductor wire bonding specialist dealing with upgrading kits for improving the bonding performance of?wire bonders. From Singapore.
Tek-Vac Industries, Inc.
Manufacturers of semiconductor and materials process equipment.
Theis Enterprises
Manufacturer of plasma etchers, parts, service training, diagnostic equipment. Primarily used in the semiconductor industry.
Thermonics Incorporated
Manufactures precision temperature forcing systems, temperature chuck systems, automatic tri-temperature robotic handlers, and custom thermal fixturing for the IC industry.
Tiros Corporation
Manufactures automated thermal curing systems including robotic multi-chamber systems. Site describes products and includes streaming video overview of system.
Tokyo Electron Limited (TEL)
Manufacturer of semiconductor equipment. Information about subsidiaries, employment opportunities, training, and products.
Torr International, Inc.
Thin film deposition and etching systems.
Total Fab Solutions, Inc
Offers contract manufacturing and equipment for the semiconductor industry.
Tranatronics
Manufacturer of a pocket EPROM programmer with support for PIC, Flash, and EE applications.
Transoptics, Inc.
Manufactures portable film thickness measurement systems that measure all transparent and semitransparent films on silicon and glass substrates.
Trazar Corporation
Manufacturer of automatic impedance matching networks and related products for semiconductor capital equipment manufacturers.
TSEL Partners LLC
Sells and leases new and used wafer fab, automatic test equipment (ATE), and SMT equipment. Searchable list of available equipment.
TSST
Thin film technology, especially for ceramic materials. Both, deposition equipment and thin film products.
ULTRA t Equipment
Provide cleaning systems for the semiconductor industry and microelectronics industries, meeting the most stringent requirements for a broad range of substrates.
Varian Semiconductor Equipment Associates
Offer high current, medium current, high energy fab tools, including ion implanters.
Ventex Corporation
Provides sales, service and spare parts for Canon photolithography equipment.
Virginia Technologies, Inc. (VTI)
Manufactures optical based meters for measuring silicon based micro electro mechanical systems (MEMS) device thickness. Company profile and product technology description.
Visual Photonics Epitaxy Co., Ltd.
Manufacturer of MOCVD technology based EPI materials.
Willkommen zu DEK GmbH
Manufacturing solutions for the electronics circuit board assembly and semiconductor industries.
Windush Technology Ltd
Vacuum handling tools for semiconductor processing and other application where precision, safety and cleanliness are essential.
Wordentec Limited
Supplier of vacuum coating, vacuum ovens, wafer and substrate handling, plasma generators, and other equipment for the thin film industry. Devon, UK.
X-FAB
Mixed signal foundry experts in semiconductors.
Xenon Corporation
Manufactures pulsed UV systems for sterilization and curing of DVDs, semiconductors, fiberoptics, medical devices and electronics, featuring low heat, instant on/off and deep penetration. Also flashlamps and tall tower lamps
Yield Dynamics, Inc.
Yield management and process control solutions for the semiconductor industry.
Yield Werx
Offers semiconductor test data analysis and yield improvement.